aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0100/name1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug0100/name1.vhdl')
-rw-r--r--testsuite/gna/bug0100/name1.vhdl11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/gna/bug0100/name1.vhdl b/testsuite/gna/bug0100/name1.vhdl
new file mode 100644
index 000000000..0ba1876e0
--- /dev/null
+++ b/testsuite/gna/bug0100/name1.vhdl
@@ -0,0 +1,11 @@
+entity crash1 is
+end crash1;
+
+architecture behav of crash1 is
+ signal samples: bit;
+begin
+ process
+ begin
+ bit'(samples));
+ end process;
+end behav;