aboutsummaryrefslogtreecommitdiffstats
path: root/doc/index.rst
diff options
context:
space:
mode:
Diffstat (limited to 'doc/index.rst')
-rw-r--r--doc/index.rst114
1 files changed, 4 insertions, 110 deletions
diff --git a/doc/index.rst b/doc/index.rst
index a7a69458e..0a14261d0 100644
--- a/doc/index.rst
+++ b/doc/index.rst
@@ -21,116 +21,10 @@ GHDL
</p>
<p style="text-align: center; font-weight: 700; font-size: 125%; margin: 24px 0;">
- GHDL: free and open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL
+ free and open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL
</p>
-.. only:: html
-
- News
- ****
-
- 2022.02.28 - `GHDL v2.0 was released <https://github.com/ghdl/ghdl/milestone/10?closed=1>`__
- ============================================================================================
-
- 2021.02.02 - `GHDL v1.0 was released <https://github.com/ghdl/ghdl/milestone/9?closed=1>`__
- ============================================================================================
-
- 2021.01.31 - GHDL v1.0.0rc1 was tagged
- ======================================
-
- * Python bindings were overhauled and renamed to ``pyGHDL``. Three modules are included: ``libghdl``, ``lsp`` and ``dom``.
-
- * The utility scripts in the codebase were moved into subdir ``scripts``: CI, binding generation, vendors, etc.
-
- * Repository `ghdl/extended-tests <https://github.com/ghdl/extended-tests>`__ was created for testing `vendors` build scripts.
-
- * The logo was updated (org, ghdl/ghdl, ghdl/docker and ghdl/ghdl-cosim).
-
- * Assets are not added to releases or pre-releases anymore. Users should use package managers or nightly assets.
-
- 2020.05.21 - Nightly build assets available
- ===========================================
-
- * After each successful CI run of branch ``master``, packages are published as assets of pre-release `nightly <https://github.com/ghdl/ghdl/releases/tag/nightly>`__.
- * GitHub Action `ghdl/setup-ghdl-ci <https://github.com/ghdl/setup-ghdl-ci>`__ was created, to allow easy installation of
- nightly GHDL assets in GitHub Actions workflows.
-
- 2020.05.09 - New repositories and a wiki were created
- =====================================================
-
- * The plugin for Yosys was moved from `tgingold/ghdlsynth-beta <https://github.com/tgingold/ghdlsynth-beta>`__ to
- `ghdl/ghdl-yosys-plugin <https://github.com/ghdl/ghdl-yosys-plugin>`__.
- * Repository `ghdl/ghdl-cosim <https://github.com/ghdl/ghdl-cosim>`__ was created. It contains documentation and code
- examples related to VHPIDIRECT, VPI and SystemC. See :ref:`COSIM` and `Previous work and future ideas <https://github.com/ghdl/ghdl-cosim/issues/1>`__.
- * A `Wiki <https://github.com/ghdl/ghdl/wiki>`__ was created. The roadmap and ideas for documentation and internship
- programs were moved there. If you want to contribute anyhow, `have a look <https://github.com/ghdl/ghdl/wiki>`__!
-
- 2020.02.28 - `GHDL v0.37 was released <https://github.com/ghdl/ghdl/milestone/8?closed=1>`__
- ============================================================================================
-
- The major changes are:
-
- * Experimental support of synthesis (either with --synth or with the Yosys plugin).
- * Fixes and improved support of vhdl 2008.
- * Last version that supports the Mentor variation of std_logic_arith.
- The Synopsys one is still available.
-
- 2019.03.03 - `GHDL v0.36 was released <https://github.com/ghdl/ghdl/milestone/7?closed=1>`__
- ============================================================================================
-
- 2019.02.23 - GHDL v0.36-rc1 was released
- ========================================
-
- The major improvements are:
-
- * more support of unbounded arrays and records
- * support of UVVM and Vunit
-
- 2018.11.29 - GHDL 20181129 was released
- =======================================
-
- 2017.12.20 - A new GitHub organization was created
- ==================================================
-
- A new GitHub organization is created and the main repo is moved from `github.com/tgingold/ghdl <https://github.com/tgingold/ghdl>`__ to
- `github.com/ghdl/ghdl <https://github.com/ghdl/ghdl>`__. Old refs will continue working, because permanent redirects are set up. However, we suggest
- every contributor to update the remote URLs in their local clones.
-
- 2017.12.14 - `GHDL 0.35 was released <https://github.com/ghdl/ghdl/milestone/3?closed=1>`__
- ===========================================================================================
-
- 2017.08.15 - `GHDL 0.34 was released <https://github.com/ghdl/ghdl/milestone/1?closed=1>`__
- ===========================================================================================
-
- 2015.10.23 - GHDL 0.33 was released
- ===================================
-
-.. only:: latex
-
- .. rubric:: 2022.02.28 - GHDL v2.0 was released.
-
- .. rubric:: 2021.02.02 - GHDL v1.0 was released.
-
- .. rubric:: 2021.01.31 - GHDL v1.0.0rc1 was tagged.
-
- .. rubric:: 2020.05.21 - Nightly build assets available.
-
- .. rubric:: 2020.05.09 - New repositories and a wiki were created.
-
- .. rubric:: 2020.02.28 - GHDL v0.37 was released.
-
- .. rubric:: 2019.03.03 - GHDL v0.36 was released.
-
- .. rubric:: 2019.02.23 - GHDL v0.36-rc1 was released.
-
- .. rubric:: 2018.11.29 - GHDL 20181129 was released.
-
- .. rubric:: 2017.12.20 - A new GitHub organization was created.
-
- .. rubric:: 2017.12.14 - GHDL 0.35 was released.
-
- .. rubric:: 2017.08.15 - GHDL 0.34 was released.
-
- .. rubric:: 2015.10.23 - GHDL 0.33 was released.
-
.. include:: toc.rst
+
+.. include:: ../NEWS.md
+ :parser: myst_parser.sphinx_