aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/gna/issue1249/repro1.vhdl12
-rwxr-xr-xtestsuite/gna/issue1249/testsuite.sh13
2 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/issue1249/repro1.vhdl b/testsuite/gna/issue1249/repro1.vhdl
new file mode 100644
index 000000000..35b2583bf
--- /dev/null
+++ b/testsuite/gna/issue1249/repro1.vhdl
@@ -0,0 +1,12 @@
+entity repro1 is
+ generic (WIDTH : natural := 4);
+end;
+
+architecture behav of repro1 is
+ type myarr is array(natural range <>) of bit_vector(WIDTH-1 downto 0);
+
+ type myrec is record
+ a: bit_vector(WIDTH-1 downto 0);
+ end record;
+begin
+end;
diff --git a/testsuite/gna/issue1249/testsuite.sh b/testsuite/gna/issue1249/testsuite.sh
new file mode 100755
index 000000000..f343debb7
--- /dev/null
+++ b/testsuite/gna/issue1249/testsuite.sh
@@ -0,0 +1,13 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze repro1.vhdl
+elab repro1
+if ghdl_has_feature repro1 dump-rti; then
+ simulate repro1 --dump-rti
+fi
+
+clean
+
+echo "Test successful"