aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/gna/issue2307/repro.vhdl11
-rwxr-xr-xtestsuite/gna/issue2307/testsuite.sh10
2 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/gna/issue2307/repro.vhdl b/testsuite/gna/issue2307/repro.vhdl
new file mode 100644
index 000000000..88adba38a
--- /dev/null
+++ b/testsuite/gna/issue2307/repro.vhdl
@@ -0,0 +1,11 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity repro is
+end;
+
+architecture behav of repro is
+ constant c : bit := '0';
+ signal s : std_logic := to_X01(c);
+begin
+end behav;
diff --git a/testsuite/gna/issue2307/testsuite.sh b/testsuite/gna/issue2307/testsuite.sh
new file mode 100755
index 000000000..7b37332aa
--- /dev/null
+++ b/testsuite/gna/issue2307/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze repro.vhdl
+
+clean
+
+echo "Test successful"