aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2023-01-11 18:30:01 +0100
committerTristan Gingold <tgingold@free.fr>2023-01-11 18:30:01 +0100
commit60774db2a547493b7f89de6239794b7354a0e31f (patch)
treec4b638d03533852dbfba2ee842c65a0404f53203
parent5e13660e8ffb8192f6e7aaea57df66f6281cf1ed (diff)
downloadghdl-60774db2a547493b7f89de6239794b7354a0e31f.tar.gz
ghdl-60774db2a547493b7f89de6239794b7354a0e31f.tar.bz2
ghdl-60774db2a547493b7f89de6239794b7354a0e31f.zip
testsuite/gna: add a test for #2307
-rw-r--r--testsuite/gna/issue2307/repro.vhdl11
-rwxr-xr-xtestsuite/gna/issue2307/testsuite.sh10
2 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/gna/issue2307/repro.vhdl b/testsuite/gna/issue2307/repro.vhdl
new file mode 100644
index 000000000..88adba38a
--- /dev/null
+++ b/testsuite/gna/issue2307/repro.vhdl
@@ -0,0 +1,11 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity repro is
+end;
+
+architecture behav of repro is
+ constant c : bit := '0';
+ signal s : std_logic := to_X01(c);
+begin
+end behav;
diff --git a/testsuite/gna/issue2307/testsuite.sh b/testsuite/gna/issue2307/testsuite.sh
new file mode 100755
index 000000000..7b37332aa
--- /dev/null
+++ b/testsuite/gna/issue2307/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze repro.vhdl
+
+clean
+
+echo "Test successful"