aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-12-13 10:13:08 +0100
committerTristan Gingold <tgingold@free.fr>2020-12-13 10:13:08 +0100
commitf9976fcdb4e1955231c3908c731c4954c6053e1c (patch)
treee7c31f786d71e25d00a4d128378a5343d7951543 /testsuite
parent239f394ee7d731d96672387fd564808091914883 (diff)
downloadghdl-f9976fcdb4e1955231c3908c731c4954c6053e1c.tar.gz
ghdl-f9976fcdb4e1955231c3908c731c4954c6053e1c.tar.bz2
ghdl-f9976fcdb4e1955231c3908c731c4954c6053e1c.zip
testsuite/synth: add test for #1534
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/synth/issue1534/ent.vhdl13
-rwxr-xr-xtestsuite/synth/issue1534/testsuite.sh9
2 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/synth/issue1534/ent.vhdl b/testsuite/synth/issue1534/ent.vhdl
new file mode 100644
index 000000000..ee5a53c15
--- /dev/null
+++ b/testsuite/synth/issue1534/ent.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent is
+ port (
+ o: out std_ulogic
+ );
+end entity;
+
+architecture arch of ent is
+begin
+ o <= to_stdulogic('0');
+end architecture;
diff --git a/testsuite/synth/issue1534/testsuite.sh b/testsuite/synth/issue1534/testsuite.sh
new file mode 100755
index 000000000..5742decf4
--- /dev/null
+++ b/testsuite/synth/issue1534/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+synth_analyze ent
+
+clean
+
+echo "Test successful"