aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-05-13 12:59:05 +0200
committerTristan Gingold <tgingold@free.fr>2017-05-17 07:19:49 +0200
commitf664558a3cc4c97dbd7837afe34375a1e52323ba (patch)
tree3dc991b623c8e65519476b0154099a1bcf8990b7 /testsuite
parentbd77edb0dd9886e8106003bd27579bfcbe6938ef (diff)
downloadghdl-f664558a3cc4c97dbd7837afe34375a1e52323ba.tar.gz
ghdl-f664558a3cc4c97dbd7837afe34375a1e52323ba.tar.bz2
ghdl-f664558a3cc4c97dbd7837afe34375a1e52323ba.zip
Add testcase for previous commit.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug072/t.vhdl4
-rwxr-xr-xtestsuite/gna/bug072/testsuite.sh9
2 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/gna/bug072/t.vhdl b/testsuite/gna/bug072/t.vhdl
new file mode 100644
index 000000000..3529ec173
--- /dev/null
+++ b/testsuite/gna/bug072/t.vhdl
@@ -0,0 +1,4 @@
+package t is
+ type arr is array (natural range 0 to 7) of bit;
+ subtype arridx is arr'range;
+end t;
diff --git a/testsuite/gna/bug072/testsuite.sh b/testsuite/gna/bug072/testsuite.sh
new file mode 100755
index 000000000..8cd06d676
--- /dev/null
+++ b/testsuite/gna/bug072/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure t.vhdl
+
+clean
+
+echo "Test successful"