aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-06-26 21:12:02 +0200
committerTristan Gingold <tgingold@free.fr>2017-06-26 21:12:02 +0200
commite975480ddf6e5589cc3f4ab11417f0f520bba109 (patch)
tree90579a0ae56db65751e99f7074823685f4b4382f /testsuite
parent2ab1ec16f1c01639cdbe14393e712759885da614 (diff)
downloadghdl-e975480ddf6e5589cc3f4ab11417f0f520bba109.tar.gz
ghdl-e975480ddf6e5589cc3f4ab11417f0f520bba109.tar.bz2
ghdl-e975480ddf6e5589cc3f4ab11417f0f520bba109.zip
Add testcase for #374
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue374/bug.vhdl19
-rwxr-xr-xtestsuite/gna/issue374/testsuite.sh9
2 files changed, 28 insertions, 0 deletions
diff --git a/testsuite/gna/issue374/bug.vhdl b/testsuite/gna/issue374/bug.vhdl
new file mode 100644
index 000000000..cc824294f
--- /dev/null
+++ b/testsuite/gna/issue374/bug.vhdl
@@ -0,0 +1,19 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.NUMERIC_STD.ALL;
+
+entity ghdl_bug is
+end ghdl_bug;
+architecture ghdl_bug_arch of ghdl_bug is
+
+function fail_msg_data(
+ data : std_logic_vector
+) return string is
+ variable data_nat : natural
+ := to_integer(unsigned(data(min(28, 24) downto 0)));
+begin
+ return "data=" & integer'image(data_nat);
+end function;
+
+begin
+end ghdl_bug_arch;
diff --git a/testsuite/gna/issue374/testsuite.sh b/testsuite/gna/issue374/testsuite.sh
new file mode 100755
index 000000000..fada7027b
--- /dev/null
+++ b/testsuite/gna/issue374/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure bug.vhdl
+
+clean
+
+echo "Test successful"