aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-06-13 12:25:41 +0200
committerTristan Gingold <tgingold@free.fr>2020-06-13 16:47:59 +0200
commitcd2dacef9754e65e82ca30cdf33a031a39010d75 (patch)
tree123db01ff19c7d90dff9123e3ba61f088b5055bd /testsuite
parente0ca8bf0b0d8049c7e9f7fe53f7ed942fac61453 (diff)
downloadghdl-cd2dacef9754e65e82ca30cdf33a031a39010d75.tar.gz
ghdl-cd2dacef9754e65e82ca30cdf33a031a39010d75.tar.bz2
ghdl-cd2dacef9754e65e82ca30cdf33a031a39010d75.zip
testsuite/gna: adjust tests after change of --std=93c
Diffstat (limited to 'testsuite')
-rwxr-xr-xtestsuite/gna/bug04/testsuite.sh2
-rwxr-xr-xtestsuite/gna/bug044/testsuite.sh2
-rwxr-xr-xtestsuite/gna/bug098/testsuite.sh2
-rwxr-xr-xtestsuite/gna/bug20767/testsuite.sh2
-rwxr-xr-xtestsuite/gna/issue132/testsuite.sh6
-rwxr-xr-xtestsuite/gna/issue1364/testsuite.sh6
-rwxr-xr-xtestsuite/gna/issue72/testsuite.sh2
7 files changed, 11 insertions, 11 deletions
diff --git a/testsuite/gna/bug04/testsuite.sh b/testsuite/gna/bug04/testsuite.sh
index 412635041..49cdec733 100755
--- a/testsuite/gna/bug04/testsuite.sh
+++ b/testsuite/gna/bug04/testsuite.sh
@@ -2,7 +2,7 @@
. ../../testenv.sh
-analyze std_logic_warning.vhdl
+analyze --std=93 std_logic_warning.vhdl
#elab_simulate warning_test
analyze test.vhdl
diff --git a/testsuite/gna/bug044/testsuite.sh b/testsuite/gna/bug044/testsuite.sh
index 6c2e36128..07a290fe5 100755
--- a/testsuite/gna/bug044/testsuite.sh
+++ b/testsuite/gna/bug044/testsuite.sh
@@ -2,7 +2,7 @@
. ../../testenv.sh
-analyze_failure aggr1.vhdl
+analyze_failure --std=93 aggr1.vhdl
clean
diff --git a/testsuite/gna/bug098/testsuite.sh b/testsuite/gna/bug098/testsuite.sh
index b8c1c32a8..2e0cb509a 100755
--- a/testsuite/gna/bug098/testsuite.sh
+++ b/testsuite/gna/bug098/testsuite.sh
@@ -2,7 +2,7 @@
. ../../testenv.sh
-analyze_failure loopy.vhdl
+analyze_failure --std=93 loopy.vhdl
clean
diff --git a/testsuite/gna/bug20767/testsuite.sh b/testsuite/gna/bug20767/testsuite.sh
index 081f92f27..e84dedc69 100755
--- a/testsuite/gna/bug20767/testsuite.sh
+++ b/testsuite/gna/bug20767/testsuite.sh
@@ -2,7 +2,7 @@
. ../../testenv.sh
-analyze_failure xilname.vhdl
+analyze_failure --std=93 xilname.vhdl
analyze -frelaxed-rules xilname.vhdl
diff --git a/testsuite/gna/issue132/testsuite.sh b/testsuite/gna/issue132/testsuite.sh
index 5c3e58ab0..e93a717ec 100755
--- a/testsuite/gna/issue132/testsuite.sh
+++ b/testsuite/gna/issue132/testsuite.sh
@@ -2,9 +2,9 @@
. ../../testenv.sh
-analyze_failure repro.vhdl
-analyze -C repro.vhdl
-analyze --mb-comments repro.vhdl
+analyze_failure --std=93 repro.vhdl
+analyze --std=93 -C repro.vhdl
+analyze --std=93 --mb-comments repro.vhdl
clean
diff --git a/testsuite/gna/issue1364/testsuite.sh b/testsuite/gna/issue1364/testsuite.sh
index e3997301b..246789779 100755
--- a/testsuite/gna/issue1364/testsuite.sh
+++ b/testsuite/gna/issue1364/testsuite.sh
@@ -2,10 +2,10 @@
. ../../testenv.sh
-analyze_failure mwe_aggr.vhdl
-analyze -frelaxed mwe_aggr.vhdl
+analyze_failure --std=93 mwe_aggr.vhdl
+analyze mwe_aggr.vhdl
+analyze --std=93 mwe_case.vhdl
analyze mwe_case.vhdl
-analyze -frelaxed mwe_case.vhdl
clean
diff --git a/testsuite/gna/issue72/testsuite.sh b/testsuite/gna/issue72/testsuite.sh
index 1119937bb..8d52a847a 100755
--- a/testsuite/gna/issue72/testsuite.sh
+++ b/testsuite/gna/issue72/testsuite.sh
@@ -3,7 +3,7 @@
. ../../testenv.sh
analyze issue_pkg.vhdl
-analyze_failure issue.vhdl
+analyze_failure --std=93 issue.vhdl
analyze fixed.vhdl
clean