aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-18 15:33:42 +0200
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-19 15:25:07 +0200
commitcb0c13d82e6d8f12029ace572b8ae4e788dcfa9a (patch)
tree1de8e4ed226345064eb6bb0e25144cae9f585c51 /testsuite
parente72d21499659f1bb4b641b9a83698354eb170eef (diff)
downloadghdl-cb0c13d82e6d8f12029ace572b8ae4e788dcfa9a.tar.gz
ghdl-cb0c13d82e6d8f12029ace572b8ae4e788dcfa9a.tar.bz2
ghdl-cb0c13d82e6d8f12029ace572b8ae4e788dcfa9a.zip
First step towards aggregates.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/pyunit/SimpleEntity.vhdl8
1 files changed, 8 insertions, 0 deletions
diff --git a/testsuite/pyunit/SimpleEntity.vhdl b/testsuite/pyunit/SimpleEntity.vhdl
index 9997c8d6d..8d5b034bb 100644
--- a/testsuite/pyunit/SimpleEntity.vhdl
+++ b/testsuite/pyunit/SimpleEntity.vhdl
@@ -27,3 +27,11 @@ begin
end if;
end process;
end architecture behav;
+
+package package_1 is
+ constant ghdl : float := (3, 5); -- 2.3;
+end package;
+
+package body package_1 is
+ constant ghdl : float := (1); -- => 2, 4 => 5, others => 10); -- .5;
+end package body;