aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-06-12 21:11:56 +0200
committerTristan Gingold <tgingold@free.fr>2017-06-13 06:34:10 +0200
commitc3c93eb70222eb0d0e0d9eec8b09fc53329cc608 (patch)
treee62ada346d1d7669316022a4cc42209b983bdb3a /testsuite
parent9d68047d4984e1ba0c50e45f2cd8e520c9ce4513 (diff)
downloadghdl-c3c93eb70222eb0d0e0d9eec8b09fc53329cc608.tar.gz
ghdl-c3c93eb70222eb0d0e0d9eec8b09fc53329cc608.tar.bz2
ghdl-c3c93eb70222eb0d0e0d9eec8b09fc53329cc608.zip
bug071: tentatively set precision for mingw64.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug071/atod.vhdl4
1 files changed, 2 insertions, 2 deletions
diff --git a/testsuite/gna/bug071/atod.vhdl b/testsuite/gna/bug071/atod.vhdl
index e7f84d88d..5164ab468 100644
--- a/testsuite/gna/bug071/atod.vhdl
+++ b/testsuite/gna/bug071/atod.vhdl
@@ -35,10 +35,10 @@ begin
end loop;
v := csts (2);
- assert to_string (v, "%a") = "0x1.dcd0089c1314ep+218" severity failure;
+ assert to_string (v, "%.13a") = "0x1.dcd0089c1314ep+218" severity failure;
v := csts (3);
- assert to_string (v, "%a") = "0x1.62644c61d41aap+885" severity failure;
+ assert to_string (v, "%.13a") = "0x1.62644c61d41aap+885" severity failure;
wait;
end process;
end behav;