aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-01-24 08:51:38 +0100
committerTristan Gingold <tgingold@free.fr>2017-01-24 08:51:38 +0100
commitbf028b7f7406f538d6acf6edab8e8b2d367aa6c0 (patch)
tree997f5d37238d4a850452278cca6deee22917386a /testsuite
parenta076edcd1f817f82b2a5dca031668ebb99f308d6 (diff)
downloadghdl-bf028b7f7406f538d6acf6edab8e8b2d367aa6c0.tar.gz
ghdl-bf028b7f7406f538d6acf6edab8e8b2d367aa6c0.tar.bz2
ghdl-bf028b7f7406f538d6acf6edab8e8b2d367aa6c0.zip
Add testcase for #262
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue262/repro.vhdl16
-rwxr-xr-xtestsuite/gna/issue262/testsuite.sh11
2 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/gna/issue262/repro.vhdl b/testsuite/gna/issue262/repro.vhdl
new file mode 100644
index 000000000..bb4dd2965
--- /dev/null
+++ b/testsuite/gna/issue262/repro.vhdl
@@ -0,0 +1,16 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity repro is
+end;
+
+architecture behav of repro is
+begin
+ process
+ variable result : std_ulogic;
+ begin
+ result := std_ulogic_vector'("-000") ?/= std_ulogic_vector'("0000");
+ result := std_ulogic_vector'("-000") ?= std_ulogic_vector'("0000");
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/gna/issue262/testsuite.sh b/testsuite/gna/issue262/testsuite.sh
new file mode 100755
index 000000000..15fea4385
--- /dev/null
+++ b/testsuite/gna/issue262/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze repro.vhdl
+elab_simulate repro --assert-level=error
+
+clean
+
+echo "Test successful"