aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-06-18 08:58:33 +0200
committerTristan Gingold <tgingold@free.fr>2017-06-18 08:58:33 +0200
commitb5c534ec627db2e61da9b5c9eacaa1b066cde53b (patch)
treed7a8852894ef8deecd0db93f82726270267085d6 /testsuite
parentb04b33d6fdbaf1c4c72b347e5ac65ba7cf1ba84d (diff)
downloadghdl-b5c534ec627db2e61da9b5c9eacaa1b066cde53b.tar.gz
ghdl-b5c534ec627db2e61da9b5c9eacaa1b066cde53b.tar.bz2
ghdl-b5c534ec627db2e61da9b5c9eacaa1b066cde53b.zip
Add reproducer for #361
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue361/repro.vhdl14
-rwxr-xr-xtestsuite/gna/issue361/testsuite.sh11
2 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/issue361/repro.vhdl b/testsuite/gna/issue361/repro.vhdl
new file mode 100644
index 000000000..e994d8c0d
--- /dev/null
+++ b/testsuite/gna/issue361/repro.vhdl
@@ -0,0 +1,14 @@
+entity repro is
+end;
+
+architecture behav of repro is
+ type rec is record
+ v : bit_vector;
+ end record;
+
+ procedure assign (signal s : out rec; val : rec) is
+ begin
+ s <= val;
+ end assign;
+begin
+end behav;
diff --git a/testsuite/gna/issue361/testsuite.sh b/testsuite/gna/issue361/testsuite.sh
new file mode 100755
index 000000000..8d22a2073
--- /dev/null
+++ b/testsuite/gna/issue361/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze repro.vhdl
+elab_simulate repro
+
+clean
+
+echo "Test successful"