aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-12-22 06:35:59 +0100
committerTristan Gingold <tgingold@free.fr>2016-12-22 06:35:59 +0100
commit9b22045bb3e1646b3b7c6d866c11f5b2d556beab (patch)
treed9d46b771ccc3c30e5840c398a9544726ba64af9 /testsuite
parenta9d7fcca179eb2e33a4a8df57ab3061c876af0c7 (diff)
downloadghdl-9b22045bb3e1646b3b7c6d866c11f5b2d556beab.tar.gz
ghdl-9b22045bb3e1646b3b7c6d866c11f5b2d556beab.tar.bz2
ghdl-9b22045bb3e1646b3b7c6d866c11f5b2d556beab.zip
Add testcase for #242
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue242/test.vhdl18
-rwxr-xr-xtestsuite/gna/issue242/testsuite.sh11
2 files changed, 29 insertions, 0 deletions
diff --git a/testsuite/gna/issue242/test.vhdl b/testsuite/gna/issue242/test.vhdl
new file mode 100644
index 000000000..5598c388c
--- /dev/null
+++ b/testsuite/gna/issue242/test.vhdl
@@ -0,0 +1,18 @@
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+
+
+ENTITY test IS
+END ENTITY test;
+
+ARCHITECTURE rtl OF test IS
+
+ TYPE test_data_t IS ARRAY (0 TO 2) OF natural;
+
+ TYPE test_vector_t IS ARRAY (0 TO 7) OF test_data_t;
+
+ CONSTANT C_TEST_VECTOR : test_vector_t := (OTHERS => test_data_t'(0, 0, 0));
+
+BEGIN
+
+END ARCHITECTURE rtl;
diff --git a/testsuite/gna/issue242/testsuite.sh b/testsuite/gna/issue242/testsuite.sh
new file mode 100755
index 000000000..1d84c0f57
--- /dev/null
+++ b/testsuite/gna/issue242/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze test.vhdl
+elab_simulate test
+
+clean
+
+echo "Test successful"