aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-06-06 08:00:24 +0200
committerTristan Gingold <tgingold@free.fr>2017-06-06 08:00:24 +0200
commit990f637cac18d53854d0c67015b1c921f18d5e3d (patch)
tree47cea24be65dfe7ac0a5bf4a558072f11f1db0c3 /testsuite
parent77f0a1479c06b6193ce9c4e2e2fc04a367847c38 (diff)
downloadghdl-990f637cac18d53854d0c67015b1c921f18d5e3d.tar.gz
ghdl-990f637cac18d53854d0c67015b1c921f18d5e3d.tar.bz2
ghdl-990f637cac18d53854d0c67015b1c921f18d5e3d.zip
Add testcase for #367
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue367/e.vhdl6
-rwxr-xr-xtestsuite/gna/issue367/testsuite.sh9
2 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/gna/issue367/e.vhdl b/testsuite/gna/issue367/e.vhdl
new file mode 100644
index 000000000..0e9d0ea33
--- /dev/null
+++ b/testsuite/gna/issue367/e.vhdl
@@ -0,0 +1,6 @@
+entity e is end entity;
+architecture a of e is
+ constant s1 :string := foreign'path;
+ constant s2 :string := foreign'foreign;
+begin
+end architecture;
diff --git a/testsuite/gna/issue367/testsuite.sh b/testsuite/gna/issue367/testsuite.sh
new file mode 100755
index 000000000..411883a4b
--- /dev/null
+++ b/testsuite/gna/issue367/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure e.vhdl
+
+clean
+
+echo "Test successful"