aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-12-20 08:52:40 +0100
committerTristan Gingold <tgingold@free.fr>2020-12-20 08:53:23 +0100
commit8ed352778368cfbff239bb2a89fc6a937c65fc26 (patch)
tree6cd0bcafeb0a9a165915a3422a2882b6af104c79 /testsuite
parentc0c405faf6473da4911c05675bc8060971577699 (diff)
downloadghdl-8ed352778368cfbff239bb2a89fc6a937c65fc26.tar.gz
ghdl-8ed352778368cfbff239bb2a89fc6a937c65fc26.tar.bz2
ghdl-8ed352778368cfbff239bb2a89fc6a937c65fc26.zip
testsuite/synth: add tests for #1540
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/synth/issue1540/ent1.vhdl13
-rw-r--r--testsuite/synth/issue1540/ent2.vhdl14
-rwxr-xr-xtestsuite/synth/issue1540/testsuite.sh11
3 files changed, 38 insertions, 0 deletions
diff --git a/testsuite/synth/issue1540/ent1.vhdl b/testsuite/synth/issue1540/ent1.vhdl
new file mode 100644
index 000000000..254f6014f
--- /dev/null
+++ b/testsuite/synth/issue1540/ent1.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent1 is
+ port (
+ o: out bit
+ );
+end entity;
+
+architecture arch of ent1 is
+begin
+ o <= to_bit(std_ulogic' ('L'));
+end architecture;
diff --git a/testsuite/synth/issue1540/ent2.vhdl b/testsuite/synth/issue1540/ent2.vhdl
new file mode 100644
index 000000000..f3fd57f5f
--- /dev/null
+++ b/testsuite/synth/issue1540/ent2.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent2 is
+ port (
+ o: out bit_vector(3 downto 0)
+ );
+end entity;
+
+architecture arch of ent2 is
+begin
+ o <= to_bitvector(std_ulogic_vector'("01LH"));
+end architecture;
+
diff --git a/testsuite/synth/issue1540/testsuite.sh b/testsuite/synth/issue1540/testsuite.sh
new file mode 100755
index 000000000..a7846d914
--- /dev/null
+++ b/testsuite/synth/issue1540/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for f in ent1 ent2 ; do
+ synth_analyze $f
+done
+
+clean
+
+echo "Test successful"