aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-01-20 07:55:46 +0100
committerTristan Gingold <tgingold@free.fr>2020-01-20 07:55:46 +0100
commit87d9089e9ad2f32b8e355c6a519726247f19cde0 (patch)
treed2d62deb33e0ff55eba2e01e8a094f79f43d3788 /testsuite
parentac830367cc0c979472cabb9173102d591817f98c (diff)
downloadghdl-87d9089e9ad2f32b8e355c6a519726247f19cde0.tar.gz
ghdl-87d9089e9ad2f32b8e355c6a519726247f19cde0.tar.bz2
ghdl-87d9089e9ad2f32b8e355c6a519726247f19cde0.zip
testsuite/synth: fully enable test #1101
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/synth/issue1101/ent.vhdl8
-rwxr-xr-xtestsuite/synth/issue1101/testsuite.sh5
2 files changed, 8 insertions, 5 deletions
diff --git a/testsuite/synth/issue1101/ent.vhdl b/testsuite/synth/issue1101/ent.vhdl
index c90998889..176bf7c9c 100644
--- a/testsuite/synth/issue1101/ent.vhdl
+++ b/testsuite/synth/issue1101/ent.vhdl
@@ -12,8 +12,8 @@ entity ent is
b : in signed(7 downto 0);
const : out signed(7 downto 0);
--- absolute1 : out unsigned(7 downto 0);
--- absolute2 : out unsigned(7 downto 0);
+ absolute1 : out unsigned(7 downto 0);
+ absolute2 : out unsigned(7 downto 0);
sum : out signed(8 downto 0);
diff : out signed(8 downto 0);
inv_diff : out signed(8 downto 0);
@@ -61,8 +61,8 @@ begin
rb <= resize(b, 9);
const <= to_signed(INT, const'length);
- --absolute1 <= to_unsigned(abs(INT), absolute1'length);
- --absolute2 <= unsigned(abs(a));
+ absolute1 <= to_unsigned(abs(INT), absolute1'length);
+ absolute2 <= unsigned(abs(a));
sum <= ra + rb;
diff <= ra + (-rb);
inv_diff <= rb - ra;
diff --git a/testsuite/synth/issue1101/testsuite.sh b/testsuite/synth/issue1101/testsuite.sh
index 84072497d..7a5fb5397 100755
--- a/testsuite/synth/issue1101/testsuite.sh
+++ b/testsuite/synth/issue1101/testsuite.sh
@@ -2,6 +2,9 @@
. ../../testenv.sh
-synth --std=08 ent.vhdl -e > syn_ent.vhdl
+GHDL_STD_FLAGS=--std=08
+synth ent.vhdl -e > syn_ent.vhdl
+analyze syn_ent.vhdl
+clean
echo "Test successful"