aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-01-08 20:43:22 +0100
committerTristan Gingold <tgingold@free.fr>2020-01-09 21:13:53 +0100
commit826a7d77c03ab03a4b173519346daf820ed5a3e5 (patch)
tree38a7655c2b130758bde5561ec3ff361feebb1406 /testsuite
parent092804708da8290834978a6dd4cc42496c66a64c (diff)
downloadghdl-826a7d77c03ab03a4b173519346daf820ed5a3e5.tar.gz
ghdl-826a7d77c03ab03a4b173519346daf820ed5a3e5.tar.bz2
ghdl-826a7d77c03ab03a4b173519346daf820ed5a3e5.zip
testsuite/synth: add a test for #1068
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/synth/issue1068/ent.vhdl16
-rwxr-xr-xtestsuite/synth/issue1068/testsuite.sh7
2 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/synth/issue1068/ent.vhdl b/testsuite/synth/issue1068/ent.vhdl
new file mode 100644
index 000000000..04487cb63
--- /dev/null
+++ b/testsuite/synth/issue1068/ent.vhdl
@@ -0,0 +1,16 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent is
+end;
+
+architecture a of ent is
+begin
+ assert false
+ report "Just a note"
+ severity note;
+
+ assert false
+ report "Test assertion failed"
+ severity failure;
+end;
diff --git a/testsuite/synth/issue1068/testsuite.sh b/testsuite/synth/issue1068/testsuite.sh
new file mode 100755
index 000000000..11b3ee2be
--- /dev/null
+++ b/testsuite/synth/issue1068/testsuite.sh
@@ -0,0 +1,7 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+! synth ent.vhdl -e
+
+echo "Test successful"