aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-07-03 21:17:07 +0200
committerTristan Gingold <tgingold@free.fr>2019-07-03 21:17:07 +0200
commit6cf0482cb1fd97580fcedb2eec4362410e2b873c (patch)
tree56d661bcde7643c72903e2fc3412d0bcc34176dc /testsuite
parent947c15efbcbbc80b0162f23f9212aa383ae65a15 (diff)
downloadghdl-6cf0482cb1fd97580fcedb2eec4362410e2b873c.tar.gz
ghdl-6cf0482cb1fd97580fcedb2eec4362410e2b873c.tar.bz2
ghdl-6cf0482cb1fd97580fcedb2eec4362410e2b873c.zip
Add test for previous commit.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug0103/repro.vhdl27
-rwxr-xr-xtestsuite/gna/bug0103/testsuite.sh11
2 files changed, 38 insertions, 0 deletions
diff --git a/testsuite/gna/bug0103/repro.vhdl b/testsuite/gna/bug0103/repro.vhdl
new file mode 100644
index 000000000..448cf6794
--- /dev/null
+++ b/testsuite/gna/bug0103/repro.vhdl
@@ -0,0 +1,27 @@
+entity repro is
+end repro;
+
+entity buf is
+ port (i : bit; o : out bit);
+end buf;
+
+architecture behav of buf is
+begin
+ o <= i;
+end behav;
+
+architecture behav of repro is
+ signal a, b : bit;
+ signal r : bit;
+begin
+ dut: entity work.buf port map (i => a xor b, o => r);
+ process
+ begin
+ a <= '0';
+ b <= '1';
+ wait for 1 ns;
+ assert r = '1' severity failure;
+
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/gna/bug0103/testsuite.sh b/testsuite/gna/bug0103/testsuite.sh
new file mode 100755
index 000000000..8d22a2073
--- /dev/null
+++ b/testsuite/gna/bug0103/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze repro.vhdl
+elab_simulate repro
+
+clean
+
+echo "Test successful"