aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-02-04 18:54:41 +0100
committerTristan Gingold <tgingold@free.fr>2020-02-04 18:54:41 +0100
commit6bc84d1de4776a15939cf2b72021bdf0b97b930d (patch)
treeb0e894e8b7e8b764ccedd7989ff8a5a5a22c9232 /testsuite
parent75b1993a8981d4c77bda3c52356fced476ac1626 (diff)
downloadghdl-6bc84d1de4776a15939cf2b72021bdf0b97b930d.tar.gz
ghdl-6bc84d1de4776a15939cf2b72021bdf0b97b930d.tar.bz2
ghdl-6bc84d1de4776a15939cf2b72021bdf0b97b930d.zip
testsuite/gna: add tests for previous 2 commits.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug0100/emptyquote.vhdl15
-rw-r--r--testsuite/gna/bug0100/emptyquote2.vhdl15
-rwxr-xr-xtestsuite/gna/bug0100/testsuite.sh2
3 files changed, 32 insertions, 0 deletions
diff --git a/testsuite/gna/bug0100/emptyquote.vhdl b/testsuite/gna/bug0100/emptyquote.vhdl
new file mode 100644
index 000000000..4c7a80bce
--- /dev/null
+++ b/testsuite/gna/bug0100/emptyquote.vhdl
@@ -0,0 +1,15 @@
+entity emptyquote is
+end;
+
+architecture behav of emptyquote is
+ procedure proc is
+ begin
+ null;
+ end proc;
+begin
+ process
+ begin
+ proc''
+ null;
+ end process;
+end;
diff --git a/testsuite/gna/bug0100/emptyquote2.vhdl b/testsuite/gna/bug0100/emptyquote2.vhdl
new file mode 100644
index 000000000..a02166976
--- /dev/null
+++ b/testsuite/gna/bug0100/emptyquote2.vhdl
@@ -0,0 +1,15 @@
+entity emptyquote is
+end;
+
+architecture behav of emptyquote is
+ procedure proc is
+ begin
+ null;
+ end proc;
+begin
+ process
+ begin
+ proc' '
+ null;
+ end process;
+end;
diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh
index 92b8ad212..fc50f76ad 100755
--- a/testsuite/gna/bug0100/testsuite.sh
+++ b/testsuite/gna/bug0100/testsuite.sh
@@ -11,8 +11,10 @@ analyze_failure --force-analysis choicelen.vhdl
analyze_failure --force-analysis noexpr.vhdl
analyze_failure --force-analysis str.vhdl
analyze_failure --force-analysis procinter.vhdl
+analyze_failure --force-analysis emptyquote2.vhdl
analyze_failure usrattr.vhdl
analyze_failure forloop.vhdl
+analyze_failure emptyquote.vhdl
if analyze_failure --force-analysis notype1.vhdl 2>&1 | grep -q "indexed name"; then
: