aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-03-27 20:27:12 +0200
committerTristan Gingold <tgingold@free.fr>2017-03-27 20:27:12 +0200
commit66fb7b8fb58cd6f2046e57175d2997dd86370b9e (patch)
tree67010cab5f8543fac5ff97bca52176b810eb2c79 /testsuite
parent69a6b07493dc643aa856ff3557f4446cfce01265 (diff)
downloadghdl-66fb7b8fb58cd6f2046e57175d2997dd86370b9e.tar.gz
ghdl-66fb7b8fb58cd6f2046e57175d2997dd86370b9e.tar.bz2
ghdl-66fb7b8fb58cd6f2046e57175d2997dd86370b9e.zip
Testcase for #326
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue326/bar.vhdl11
-rw-r--r--testsuite/gna/issue326/foo.vhdl11
-rwxr-xr-xtestsuite/gna/issue326/testsuite.sh11
3 files changed, 33 insertions, 0 deletions
diff --git a/testsuite/gna/issue326/bar.vhdl b/testsuite/gna/issue326/bar.vhdl
new file mode 100644
index 000000000..3638a1426
--- /dev/null
+++ b/testsuite/gna/issue326/bar.vhdl
@@ -0,0 +1,11 @@
+entity bar is
+ port(a, b: out bit);
+end entity bar;
+architecture gub of bar is
+begin
+ a <= '0', '1' after 1 ns, '0' after 2 ns, '1' after 3 ns;
+ process(all)
+ begin
+ b <= not a;
+ end process;
+end architecture gub;
diff --git a/testsuite/gna/issue326/foo.vhdl b/testsuite/gna/issue326/foo.vhdl
new file mode 100644
index 000000000..abf23402e
--- /dev/null
+++ b/testsuite/gna/issue326/foo.vhdl
@@ -0,0 +1,11 @@
+entity foo is
+ port(a, b: out bit);
+end entity foo;
+architecture bug of foo is
+begin
+ a <= '0', '1' after 1 ns, '0' after 2 ns, '1' after 3 ns;
+ process(a)
+ begin
+ b <= not a;
+ end process;
+end architecture bug;
diff --git a/testsuite/gna/issue326/testsuite.sh b/testsuite/gna/issue326/testsuite.sh
new file mode 100755
index 000000000..4f9c2a106
--- /dev/null
+++ b/testsuite/gna/issue326/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze foo.vhdl
+analyze bar.vhdl
+
+clean
+
+echo "Test successful"