aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-01-04 17:53:41 +0100
committerTristan Gingold <tgingold@free.fr>2020-01-06 18:20:27 +0100
commit61c0e71793576646cb8374cd462bcda7cf6e410e (patch)
tree1492d57a8a05ac4013295e61e98557fccce38fe2 /testsuite
parent727f3514973a5ec8b7fc86bd8db6611585bd9217 (diff)
downloadghdl-61c0e71793576646cb8374cd462bcda7cf6e410e.tar.gz
ghdl-61c0e71793576646cb8374cd462bcda7cf6e410e.tar.bz2
ghdl-61c0e71793576646cb8374cd462bcda7cf6e410e.zip
testsuite: add a case for #1038
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue1038/repro.vhdl24
-rwxr-xr-xtestsuite/gna/issue1038/testsuite.sh11
2 files changed, 35 insertions, 0 deletions
diff --git a/testsuite/gna/issue1038/repro.vhdl b/testsuite/gna/issue1038/repro.vhdl
new file mode 100644
index 000000000..bb2afed79
--- /dev/null
+++ b/testsuite/gna/issue1038/repro.vhdl
@@ -0,0 +1,24 @@
+package pkg is
+ type bv_array is array (natural range <>) of bit_vector;
+ subtype byte_array is bv_array(open)(7 downto 0);
+
+ type mrec is record
+ b : boolean;
+ data : byte_array;
+ end record;
+end pkg;
+
+use work.pkg.all;
+
+entity repro is
+end;
+
+architecture behav of repro is
+begin
+ process
+ variable a, b : mrec (data(0 to 3));
+ begin
+ assert a = b;
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/gna/issue1038/testsuite.sh b/testsuite/gna/issue1038/testsuite.sh
new file mode 100755
index 000000000..8d22a2073
--- /dev/null
+++ b/testsuite/gna/issue1038/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze repro.vhdl
+elab_simulate repro
+
+clean
+
+echo "Test successful"