aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-08-02 09:27:37 +0200
committerTristan Gingold <tgingold@free.fr>2020-08-03 19:05:59 +0200
commit60c3d12395c6fb6892f30bf326f223d3bedf38bb (patch)
tree8afc1c9c40e1fe95c32fc0c2c7aef665d8b53859 /testsuite
parentab2fd3d52f149efcc9cc66f0a0a5e378a1d63918 (diff)
downloadghdl-60c3d12395c6fb6892f30bf326f223d3bedf38bb.tar.gz
ghdl-60c3d12395c6fb6892f30bf326f223d3bedf38bb.tar.bz2
ghdl-60c3d12395c6fb6892f30bf326f223d3bedf38bb.zip
testsuite/gna: add a simple testcase for force/release. #1416
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue1416/repro.vhdl28
-rwxr-xr-xtestsuite/gna/issue1416/testsuite.sh11
2 files changed, 39 insertions, 0 deletions
diff --git a/testsuite/gna/issue1416/repro.vhdl b/testsuite/gna/issue1416/repro.vhdl
new file mode 100644
index 000000000..d1d0e34e1
--- /dev/null
+++ b/testsuite/gna/issue1416/repro.vhdl
@@ -0,0 +1,28 @@
+entity repro is
+ port (a : bit := '0';
+ o : out bit);
+end;
+
+architecture behav of repro is
+ signal s : bit;
+begin
+ s <= a;
+ o <= not s;
+
+ process
+ begin
+ wait for 1 ns;
+ assert a = '0' and s = '0' severity failure;
+ s <= force '1';
+ assert s = '0' severity failure;
+ wait for 0 ns;
+ assert s'active severity failure;
+ assert a = '0' and s = '1' severity failure;
+ wait for 1 ns;
+ s <= release;
+ wait for 0 ns;
+ assert s'active severity failure;
+ assert a = '0' and s = '0' severity failure;
+ wait;
+ end process;
+end;
diff --git a/testsuite/gna/issue1416/testsuite.sh b/testsuite/gna/issue1416/testsuite.sh
new file mode 100755
index 000000000..8d22a2073
--- /dev/null
+++ b/testsuite/gna/issue1416/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze repro.vhdl
+elab_simulate repro
+
+clean
+
+echo "Test successful"