aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-12-22 06:49:33 +0100
committerTristan Gingold <tgingold@free.fr>2016-12-22 06:49:33 +0100
commit534208258fb3032241ec91c9f4b037c39674a896 (patch)
tree5ebaad2b307cb0dc931d42c4b303f566262839b2 /testsuite
parent4b9ba6d37fd35f2cb5de56b49916691cf60bdc2a (diff)
downloadghdl-534208258fb3032241ec91c9f4b037c39674a896.tar.gz
ghdl-534208258fb3032241ec91c9f4b037c39674a896.tar.bz2
ghdl-534208258fb3032241ec91c9f4b037c39674a896.zip
Add testcase for aggregate with others.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug044/aggr1.vhdl11
-rwxr-xr-xtestsuite/gna/bug044/testsuite.sh9
2 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/gna/bug044/aggr1.vhdl b/testsuite/gna/bug044/aggr1.vhdl
new file mode 100644
index 000000000..1027fe73a
--- /dev/null
+++ b/testsuite/gna/bug044/aggr1.vhdl
@@ -0,0 +1,11 @@
+entity aggr1 is
+end aggr1;
+
+architecture behav of aggr1 is
+ procedure proc (b, c : out bit_vector) is
+ begin
+ b := (others => '0');
+ c := ('1', others => '0');
+ end proc;
+begin
+end behav;
diff --git a/testsuite/gna/bug044/testsuite.sh b/testsuite/gna/bug044/testsuite.sh
new file mode 100755
index 000000000..6c2e36128
--- /dev/null
+++ b/testsuite/gna/bug044/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure aggr1.vhdl
+
+clean
+
+echo "Test successful"