aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-02-04 18:23:55 +0100
committerTristan Gingold <tgingold@free.fr>2020-02-04 18:23:55 +0100
commit5225ca0e22ba2aa55ad7bec3e8bb754583aaa953 (patch)
tree532208b0b0b2fca189dcefc858a12cd6e6966e7a /testsuite
parent6d43356887b4a1e605715248d17195ba8f8ebc9f (diff)
downloadghdl-5225ca0e22ba2aa55ad7bec3e8bb754583aaa953.tar.gz
ghdl-5225ca0e22ba2aa55ad7bec3e8bb754583aaa953.tar.bz2
ghdl-5225ca0e22ba2aa55ad7bec3e8bb754583aaa953.zip
testsuite/gna: add a test for previous commit.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug0100/str.vhdl12
-rwxr-xr-xtestsuite/gna/bug0100/testsuite.sh1
2 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/gna/bug0100/str.vhdl b/testsuite/gna/bug0100/str.vhdl
new file mode 100644
index 000000000..b190536d8
--- /dev/null
+++ b/testsuite/gna/bug0100/str.vhdl
@@ -0,0 +1,12 @@
+entity str is
+end str;
+
+architecture behav of str is
+begin
+ process
+ begin
+ null;
+ "abc";
+ null;
+ end process;
+end behav;
diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh
index 54ca03736..efd122b9c 100755
--- a/testsuite/gna/bug0100/testsuite.sh
+++ b/testsuite/gna/bug0100/testsuite.sh
@@ -9,6 +9,7 @@ analyze_failure --force-analysis nochoice1.vhdl
analyze_failure --force-analysis nochoice2.vhdl
analyze_failure --force-analysis choicelen.vhdl
analyze_failure --force-analysis noexpr.vhdl
+analyze_failure --force-analysis str.vhdl
analyze_failure usrattr.vhdl
analyze_failure forloop.vhdl