aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-03-11 07:24:00 +0100
committerTristan Gingold <tgingold@free.fr>2017-03-11 07:24:00 +0100
commit4e2a152374d2dabdbb46962669a497424d00e52e (patch)
tree552a097393893cc6dfc8584a9f121320e481b65f /testsuite
parent399e3a80eaa421f398f4e8d3d63ee9640b1f042e (diff)
downloadghdl-4e2a152374d2dabdbb46962669a497424d00e52e.tar.gz
ghdl-4e2a152374d2dabdbb46962669a497424d00e52e.tar.bz2
ghdl-4e2a152374d2dabdbb46962669a497424d00e52e.zip
Add testcase for #312
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue312/test.vhdl19
-rwxr-xr-xtestsuite/gna/issue312/testsuite.sh10
2 files changed, 29 insertions, 0 deletions
diff --git a/testsuite/gna/issue312/test.vhdl b/testsuite/gna/issue312/test.vhdl
new file mode 100644
index 000000000..2fca37258
--- /dev/null
+++ b/testsuite/gna/issue312/test.vhdl
@@ -0,0 +1,19 @@
+package pkg is
+ generic (
+ type T
+ );
+end package;
+
+
+use work.pkg.all;
+
+entity test is
+end entity;
+
+architecture tb of test is
+ package p is new package pkg
+ generic map (
+ T => integer
+ );
+begin
+end architecture;
diff --git a/testsuite/gna/issue312/testsuite.sh b/testsuite/gna/issue312/testsuite.sh
new file mode 100755
index 000000000..84ddbddba
--- /dev/null
+++ b/testsuite/gna/issue312/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure test.vhdl
+
+clean
+
+echo "Test successful"