aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-02-23 21:01:14 +0100
committerTristan Gingold <tgingold@free.fr>2017-02-23 21:01:14 +0100
commit394c9b5f341cd4393a87acad72b933a6d4a56e2b (patch)
treec9c168e857fd5b132f91a29245cd4ce9d71a1b1a /testsuite
parente39f608869b722fc49700e9ddca7812074753d7e (diff)
downloadghdl-394c9b5f341cd4393a87acad72b933a6d4a56e2b.tar.gz
ghdl-394c9b5f341cd4393a87acad72b933a6d4a56e2b.tar.bz2
ghdl-394c9b5f341cd4393a87acad72b933a6d4a56e2b.zip
Add testcase for #293
Close #293
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue293/repro.vhdl27
-rw-r--r--testsuite/gna/issue293/tb_test.vhdl24
-rwxr-xr-xtestsuite/gna/issue293/testsuite.sh11
3 files changed, 62 insertions, 0 deletions
diff --git a/testsuite/gna/issue293/repro.vhdl b/testsuite/gna/issue293/repro.vhdl
new file mode 100644
index 000000000..1e12d6d7e
--- /dev/null
+++ b/testsuite/gna/issue293/repro.vhdl
@@ -0,0 +1,27 @@
+library ieee;
+use ieee.float_pkg.all;
+
+entity tb_test is
+ generic (
+ runner_cfg : string := "h");
+end tb_test;
+
+architecture tb of tb_test is
+begin
+ test_runner : process
+ -- Makes the implicit "=" directly visible.
+ alias fp32 is float32;
+ begin
+ assert not (zerofp = neg_zerofp) severity failure;
+
+ wait;
+ end process test_runner;
+
+ test_runner2 : process
+ -- Only the user-defined "=" is visible.
+ begin
+ assert zerofp = neg_zerofp severity failure;
+
+ wait;
+ end process test_runner2;
+end;
diff --git a/testsuite/gna/issue293/tb_test.vhdl b/testsuite/gna/issue293/tb_test.vhdl
new file mode 100644
index 000000000..5b17dda18
--- /dev/null
+++ b/testsuite/gna/issue293/tb_test.vhdl
@@ -0,0 +1,24 @@
+library vunit_lib;
+context vunit_lib.vunit_context;
+
+library ieee;
+use ieee.float_pkg.all;
+
+entity tb_test is
+ generic (
+ runner_cfg : string);
+end tb_test;
+
+architecture tb of tb_test is
+begin
+ test_runner : process
+ alias fp32 is float32;
+ begin
+ test_runner_setup(runner, runner_cfg);
+
+ assert zerofp = neg_zerofp;
+
+ test_runner_cleanup(runner);
+ wait;
+ end process test_runner;
+end;
diff --git a/testsuite/gna/issue293/testsuite.sh b/testsuite/gna/issue293/testsuite.sh
new file mode 100755
index 000000000..461451930
--- /dev/null
+++ b/testsuite/gna/issue293/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze repro.vhdl
+elab_simulate tb_test
+
+clean
+
+echo "Test successful"