aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-10-14 21:39:12 +0200
committerTristan Gingold <tgingold@free.fr>2022-10-14 21:39:12 +0200
commit2f1dd7086e3a03faca25ec1f9dc21ee63f8155a0 (patch)
tree53980ff07c676628651935d9c196e571f3f58f65 /testsuite
parent47e2a9a05c3aabb01ac77518ad407d3400cccc1d (diff)
downloadghdl-2f1dd7086e3a03faca25ec1f9dc21ee63f8155a0.tar.gz
ghdl-2f1dd7086e3a03faca25ec1f9dc21ee63f8155a0.tar.bz2
ghdl-2f1dd7086e3a03faca25ec1f9dc21ee63f8155a0.zip
testsuite/gna: add a test for #2219
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue2219/ent.vhdl30
-rwxr-xr-xtestsuite/gna/issue2219/testsuite.sh9
2 files changed, 39 insertions, 0 deletions
diff --git a/testsuite/gna/issue2219/ent.vhdl b/testsuite/gna/issue2219/ent.vhdl
new file mode 100644
index 000000000..f518e1b1c
--- /dev/null
+++ b/testsuite/gna/issue2219/ent.vhdl
@@ -0,0 +1,30 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity test1 is
+end entity;
+
+architecture beh of test1 is
+ signal sig : std_logic;
+begin
+end architecture;
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity test is
+end entity;
+
+architecture beh of test is
+begin
+ i_test : entity work.test1;
+
+ p_proc : process
+ begin
+ <<signal i_test.sig : std_logic >> <= force '0';
+ <<signal i_test.sig : std_logic >> <= release;
+ wait;
+ end process;
+end architecture;
diff --git a/testsuite/gna/issue2219/testsuite.sh b/testsuite/gna/issue2219/testsuite.sh
new file mode 100755
index 000000000..892f1e537
--- /dev/null
+++ b/testsuite/gna/issue2219/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+run $GHDL -s --std=08 ent.vhdl
+
+clean
+
+echo "Test successful"