aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-06-12 18:41:48 +0200
committerTristan Gingold <tgingold@free.fr>2019-06-12 18:41:48 +0200
commit23bd5955da7d80e56e4bc9d1f756d13a1753d6e7 (patch)
treed01e1053305caed7bcf17d76f6d985f56c72c242 /testsuite
parent7acfbc54bf6dbb4dbfc44bafc58eb2d5ec9f3550 (diff)
downloadghdl-23bd5955da7d80e56e4bc9d1f756d13a1753d6e7.tar.gz
ghdl-23bd5955da7d80e56e4bc9d1f756d13a1753d6e7.tar.bz2
ghdl-23bd5955da7d80e56e4bc9d1f756d13a1753d6e7.zip
Add a testcase for previous commit.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug0100/notype2.vhdl8
-rwxr-xr-xtestsuite/gna/bug0100/testsuite.sh1
2 files changed, 9 insertions, 0 deletions
diff --git a/testsuite/gna/bug0100/notype2.vhdl b/testsuite/gna/bug0100/notype2.vhdl
new file mode 100644
index 000000000..5763e435f
--- /dev/null
+++ b/testsuite/gna/bug0100/notype2.vhdl
@@ -0,0 +1,8 @@
+entity notype2 is
+end;
+
+architecture behav of notype2 is
+ signal s : out bit_vector(7 downto 0);
+begin
+ s (1) <= '0';
+end behav;
diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh
index 5f1ca87df..c0622ed86 100755
--- a/testsuite/gna/bug0100/testsuite.sh
+++ b/testsuite/gna/bug0100/testsuite.sh
@@ -4,6 +4,7 @@
analyze_failure --force-analysis inst1.vhdl
analyze_failure --force-analysis notype1.vhdl
+analyze_failure --force-analysis notype2.vhdl
if analyze_failure --force-analysis notype1.vhdl 2>&1 | grep -q "indexed name"; then
: