aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-03-06 07:57:25 +0100
committerTristan Gingold <tgingold@free.fr>2017-03-09 20:42:56 +0100
commit0b7ccf5869d61528d1a7dbb4fed98b0e300bc7a3 (patch)
tree7b94266bd8efc8afed583d634029798dc62ca667 /testsuite
parent9a191a62fe59eadc2051daaab7a85215346c9200 (diff)
downloadghdl-0b7ccf5869d61528d1a7dbb4fed98b0e300bc7a3.tar.gz
ghdl-0b7ccf5869d61528d1a7dbb4fed98b0e300bc7a3.tar.bz2
ghdl-0b7ccf5869d61528d1a7dbb4fed98b0e300bc7a3.zip
Testcase for #309
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue309/tb.vhdl0
-rwxr-xr-xtestsuite/gna/issue309/testsuite.sh9
2 files changed, 9 insertions, 0 deletions
diff --git a/testsuite/gna/issue309/tb.vhdl b/testsuite/gna/issue309/tb.vhdl
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/testsuite/gna/issue309/tb.vhdl
diff --git a/testsuite/gna/issue309/testsuite.sh b/testsuite/gna/issue309/testsuite.sh
new file mode 100755
index 000000000..8a9a6eed3
--- /dev/null
+++ b/testsuite/gna/issue309/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure tb.vhdl
+
+clean
+
+echo "Test successful"