aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-20 16:52:13 +0200
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-22 12:26:58 +0200
commit045fb20734c477fe5191ac0b6de51fa272c64f41 (patch)
tree252583ba14ef0d6325bb26f5e2b603ce3d2b5433 /testsuite
parent15f447b1270a815748fdbcce46d97abd9eecc21d (diff)
downloadghdl-045fb20734c477fe5191ac0b6de51fa272c64f41.tar.gz
ghdl-045fb20734c477fe5191ac0b6de51fa272c64f41.tar.bz2
ghdl-045fb20734c477fe5191ac0b6de51fa272c64f41.zip
New testing file.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/pyunit/Current.vhdl4
1 files changed, 2 insertions, 2 deletions
diff --git a/testsuite/pyunit/Current.vhdl b/testsuite/pyunit/Current.vhdl
index 5a677546e..350e12e66 100644
--- a/testsuite/pyunit/Current.vhdl
+++ b/testsuite/pyunit/Current.vhdl
@@ -20,7 +20,7 @@ end entity entity_1;
architecture behav of entity_1 is
constant MAX : positive := -25;
- signal rst : std_logic := 'U';
+ signal rst : std_logic := 'U' & "test";
type newInt is range -4 to 3;
subtype uint8 is integer range 0 to 255;
@@ -45,7 +45,7 @@ begin
end architecture behav;
package package_1 is
- constant ghdl : float := (3, 5, 0 => 5, 3 => 4, name => 10); -- 2.3;
+ constant ghdl : float := (3, 5, 0 to 2 => 5, 3 => 4, name => 10); -- 2.3;
end package;
package body package_1 is