diff options
author | Tristan Gingold <tgingold@free.fr> | 2020-04-02 07:44:20 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2020-04-02 07:44:20 +0200 |
commit | cea5cdaaacc5b599ce7d9007315f029095ff1321 (patch) | |
tree | 4c54c96a81696406ec2a884afb346a1a5cc96f8e /testsuite/synth | |
parent | 9cafa57a104d53aafd4887ba6840d929035ef7eb (diff) | |
download | ghdl-cea5cdaaacc5b599ce7d9007315f029095ff1321.tar.gz ghdl-cea5cdaaacc5b599ce7d9007315f029095ff1321.tar.bz2 ghdl-cea5cdaaacc5b599ce7d9007315f029095ff1321.zip |
testsuite/synth: add a test for #1186
Diffstat (limited to 'testsuite/synth')
-rw-r--r-- | testsuite/synth/issue1186/ent.vhdl | 15 | ||||
-rwxr-xr-x | testsuite/synth/issue1186/testsuite.sh | 8 |
2 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/synth/issue1186/ent.vhdl b/testsuite/synth/issue1186/ent.vhdl new file mode 100644 index 000000000..56a74d7d7 --- /dev/null +++ b/testsuite/synth/issue1186/ent.vhdl @@ -0,0 +1,15 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity ent is +end; + +architecture a of ent is + component c is + generic ( + G_REAL : real + ); + end component; +begin + c_inst: c generic map (G_REAL => 1.5); +end; diff --git a/testsuite/synth/issue1186/testsuite.sh b/testsuite/synth/issue1186/testsuite.sh new file mode 100755 index 000000000..85f3809ae --- /dev/null +++ b/testsuite/synth/issue1186/testsuite.sh @@ -0,0 +1,8 @@ +#! /bin/sh + +. ../../testenv.sh + +synth ent.vhdl -e > syn_ent.vhdl +clean + +echo "Test successful" |