aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/unary01
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-01-12 21:41:30 +0100
committerTristan Gingold <tgingold@free.fr>2020-01-12 21:41:30 +0100
commitc3e17a99f3369ec5e63433dbee6819b6aadae4cd (patch)
treed3c1040c433b2e09ddc28bd09cef6208a86840ec /testsuite/synth/unary01
parent9ea42a0fd9915002d00509da079ef92309514a00 (diff)
downloadghdl-c3e17a99f3369ec5e63433dbee6819b6aadae4cd.tar.gz
ghdl-c3e17a99f3369ec5e63433dbee6819b6aadae4cd.tar.bz2
ghdl-c3e17a99f3369ec5e63433dbee6819b6aadae4cd.zip
testsuite/synth: add a test for static unary not. For #1080
Diffstat (limited to 'testsuite/synth/unary01')
-rw-r--r--testsuite/synth/unary01/snot.vhdl15
-rwxr-xr-xtestsuite/synth/unary01/testsuite.sh2
2 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/synth/unary01/snot.vhdl b/testsuite/synth/unary01/snot.vhdl
new file mode 100644
index 000000000..7518d3885
--- /dev/null
+++ b/testsuite/synth/unary01/snot.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity snot is
+ generic (
+ v : std_logic := '0');
+ port (
+ o : out std_logic);
+end snot;
+
+architecture behav of snot is
+begin
+ o <= not v;
+ assert (not v) = '1' severity failure;
+end behav;
diff --git a/testsuite/synth/unary01/testsuite.sh b/testsuite/synth/unary01/testsuite.sh
index 3e8f8a082..489868f74 100755
--- a/testsuite/synth/unary01/testsuite.sh
+++ b/testsuite/synth/unary01/testsuite.sh
@@ -6,6 +6,8 @@ GHDL_STD_FLAGS=--std=08
synth test.vhdl -e test > syn_test.vhdl
analyze syn_test.vhdl
+
+synth snot.vhdl -e > syn_snot.vhdl
clean
echo "Test successful"