aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/unary01
diff options
context:
space:
mode:
authorPepijn de Vos <pepijndevos@gmail.com>2019-08-20 19:11:10 +0200
committertgingold <tgingold@users.noreply.github.com>2019-08-20 19:11:10 +0200
commit27ddfe66da98ad3ea058d29730454b19045d8621 (patch)
treedf59c777410e1bcb87c1790cb19bc883c7e590a4 /testsuite/synth/unary01
parentf64f2dbaa0e613f3ee499e6d474074d1b21c8bf4 (diff)
downloadghdl-27ddfe66da98ad3ea058d29730454b19045d8621.tar.gz
ghdl-27ddfe66da98ad3ea058d29730454b19045d8621.tar.bz2
ghdl-27ddfe66da98ad3ea058d29730454b19045d8621.zip
initial support for reduce and/or (#900)
Diffstat (limited to 'testsuite/synth/unary01')
-rw-r--r--testsuite/synth/unary01/test.vhdl14
-rwxr-xr-xtestsuite/synth/unary01/testsuite.sh11
2 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/synth/unary01/test.vhdl b/testsuite/synth/unary01/test.vhdl
new file mode 100644
index 000000000..a3d4271a9
--- /dev/null
+++ b/testsuite/synth/unary01/test.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity test is
+ port (a, b : in std_logic_vector(7 downto 0);
+ o, p : out std_logic);
+end test;
+
+architecture behav of test is
+begin
+ o <= or a;
+ p <= and b;
+end behav;
diff --git a/testsuite/synth/unary01/testsuite.sh b/testsuite/synth/unary01/testsuite.sh
new file mode 100755
index 000000000..3e8f8a082
--- /dev/null
+++ b/testsuite/synth/unary01/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+
+synth test.vhdl -e test > syn_test.vhdl
+analyze syn_test.vhdl
+clean
+
+echo "Test successful"