aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/snsuns01/ushrs.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-08-07 21:54:09 +0200
committerTristan Gingold <tgingold@free.fr>2020-08-07 21:55:53 +0200
commita18e1503a9896152268705aab21a6ee491756ff7 (patch)
tree7fd8a8fe8ca3cfca8687c6c2fe26e2224c712cf1 /testsuite/synth/snsuns01/ushrs.vhdl
parentb46d4db8b112d40b056c102d65a64d08a00f4668 (diff)
downloadghdl-a18e1503a9896152268705aab21a6ee491756ff7.tar.gz
ghdl-a18e1503a9896152268705aab21a6ee491756ff7.tar.bz2
ghdl-a18e1503a9896152268705aab21a6ee491756ff7.zip
testsuite/synth: add tests for std_logic_unsigned and std_logic_signed.
Diffstat (limited to 'testsuite/synth/snsuns01/ushrs.vhdl')
-rw-r--r--testsuite/synth/snsuns01/ushrs.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/synth/snsuns01/ushrs.vhdl b/testsuite/synth/snsuns01/ushrs.vhdl
new file mode 100644
index 000000000..f3539fe62
--- /dev/null
+++ b/testsuite/synth/snsuns01/ushrs.vhdl
@@ -0,0 +1,20 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity shrs is
+ port (
+ l3 : std_logic_vector (2 downto 0);
+ r4 : std_logic_vector (3 downto 0);
+
+ shl_v3v4 : out std_logic_vector (2 downto 0);
+ shr_v3v4 : out std_logic_vector (2 downto 0));
+end shrs;
+
+library ieee;
+use ieee.std_logic_unsigned.all;
+
+architecture behav of shrs is
+begin
+ shl_v3v4 <= shl(l3, r4);
+ shr_v3v4 <= shr(l3, r4);
+end behav;