aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/slice01
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-07-23 07:34:52 +0200
committerTristan Gingold <tgingold@free.fr>2019-07-23 07:34:52 +0200
commite0281345a5437282f287568b6fafa8519512b9dd (patch)
tree50c31d11b6c514602779d57fce0ec5e27e6788a0 /testsuite/synth/slice01
parente073f75229d0abf04c8c40fc67391fbd8ea4a9a1 (diff)
downloadghdl-e0281345a5437282f287568b6fafa8519512b9dd.tar.gz
ghdl-e0281345a5437282f287568b6fafa8519512b9dd.tar.bz2
ghdl-e0281345a5437282f287568b6fafa8519512b9dd.zip
synth: add testcase for previous commit.
Diffstat (limited to 'testsuite/synth/slice01')
-rw-r--r--testsuite/synth/slice01/slice01.vhdl28
-rw-r--r--testsuite/synth/slice01/tb_slice01.vhdl37
-rwxr-xr-xtestsuite/synth/slice01/testsuite.sh16
3 files changed, 81 insertions, 0 deletions
diff --git a/testsuite/synth/slice01/slice01.vhdl b/testsuite/synth/slice01/slice01.vhdl
new file mode 100644
index 000000000..0aaeced74
--- /dev/null
+++ b/testsuite/synth/slice01/slice01.vhdl
@@ -0,0 +1,28 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity slice01 is
+ generic (w: natural := 4);
+ port (rst : std_logic;
+ clk : std_logic;
+ di : std_logic;
+ do : out std_logic_vector (w - 1 downto 0));
+end slice01;
+
+architecture behav of slice01 is
+ signal r : std_logic_vector (w - 1 downto 0);
+begin
+ do <= r;
+
+ process(clk)
+ begin
+ if rising_edge (clk) then
+ if rst = '1' then
+ r <= (others => '0');
+ else
+ r (w - 2 downto 0) <= r (w - 1 downto 1);
+ r (w - 1) <= di;
+ end if;
+ end if;
+ end process;
+end behav;
diff --git a/testsuite/synth/slice01/tb_slice01.vhdl b/testsuite/synth/slice01/tb_slice01.vhdl
new file mode 100644
index 000000000..3972c8899
--- /dev/null
+++ b/testsuite/synth/slice01/tb_slice01.vhdl
@@ -0,0 +1,37 @@
+entity tb_slice01 is
+end tb_slice01;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_slice01 is
+ signal rst : std_logic;
+ signal clk : std_logic;
+ signal di : std_logic;
+ signal do : std_logic_vector (3 downto 0);
+begin
+ dut: entity work.slice01
+ generic map (w => 4)
+ port map (rst, clk, di, do);
+
+ process
+ procedure pulse is
+ begin
+ clk <= '0';
+ wait for 1 ns;
+ clk <= '1';
+ wait for 1 ns;
+ end pulse;
+ constant b0 : std_logic_vector (3 downto 0) := "1101";
+ begin
+ rst <= '1';
+ pulse;
+ rst <= '0';
+ for i in b0'reverse_range loop
+ di <= b0 (i);
+ pulse;
+ end loop;
+ assert do = b0 severity error;
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/synth/slice01/testsuite.sh b/testsuite/synth/slice01/testsuite.sh
new file mode 100755
index 000000000..1729c688d
--- /dev/null
+++ b/testsuite/synth/slice01/testsuite.sh
@@ -0,0 +1,16 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for t in slice01; do
+ analyze $t.vhdl tb_$t.vhdl
+ elab_simulate tb_$t
+ clean
+
+ synth $t.vhdl -e $t > syn_$t.vhdl
+ analyze syn_$t.vhdl tb_$t.vhdl
+ elab_simulate tb_$t
+ clean
+done
+
+echo "Test successful"