aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/psl01
diff options
context:
space:
mode:
authorPepijn de Vos <pepijndevos@gmail.com>2019-08-15 09:59:45 +0200
committertgingold <tgingold@users.noreply.github.com>2019-08-15 09:59:45 +0200
commitf7f0f0a48f49e0328401c1f60575f07c92c0c15f (patch)
treefaf86e849be57a31d082fb322b65a5270c7e772f /testsuite/synth/psl01
parent473e61a9f37534d08aab4dc26c5eada258637974 (diff)
downloadghdl-f7f0f0a48f49e0328401c1f60575f07c92c0c15f.tar.gz
ghdl-f7f0f0a48f49e0328401c1f60575f07c92c0c15f.tar.bz2
ghdl-f7f0f0a48f49e0328401c1f60575f07c92c0c15f.zip
add synthesis support for logic operators on numeric types (#893)
* add logic operators on unsigned * handle signed too * handle unary not
Diffstat (limited to 'testsuite/synth/psl01')
-rw-r--r--testsuite/synth/psl01/hello.vhdl6
1 files changed, 3 insertions, 3 deletions
diff --git a/testsuite/synth/psl01/hello.vhdl b/testsuite/synth/psl01/hello.vhdl
index 6f5e40735..6676b883a 100644
--- a/testsuite/synth/psl01/hello.vhdl
+++ b/testsuite/synth/psl01/hello.vhdl
@@ -22,8 +22,8 @@ begin
end process;
cnt <= val;
- --psl default clock is clk;
+ --psl default clock is rising_edge(clk);
--psl restrict {rst; (not rst)[*]};
- --psl assert always val /= 5 or rst = '1';
- --psl assume always val < 50;
+ --psl assert always val /= 5 abort rst;
+ --psl assume always val < 10;
end behav;