aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/oper01/tb_snum02.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-11-16 13:38:31 +0100
committerTristan Gingold <tgingold@free.fr>2019-11-16 13:38:31 +0100
commitb2bd8f350317e2990b1482ed0fe600e48dde2404 (patch)
tree3a5ab486d1c9c1c8ceaeea205aed74bac75a5238 /testsuite/synth/oper01/tb_snum02.vhdl
parentf77e7c4e9dff80f8c478851b826e45f13a13cfe0 (diff)
downloadghdl-b2bd8f350317e2990b1482ed0fe600e48dde2404.tar.gz
ghdl-b2bd8f350317e2990b1482ed0fe600e48dde2404.tar.bz2
ghdl-b2bd8f350317e2990b1482ed0fe600e48dde2404.zip
testsuite/synth: add tests for static numeric std.
Diffstat (limited to 'testsuite/synth/oper01/tb_snum02.vhdl')
-rw-r--r--testsuite/synth/oper01/tb_snum02.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/synth/oper01/tb_snum02.vhdl b/testsuite/synth/oper01/tb_snum02.vhdl
new file mode 100644
index 000000000..e6b1f8c32
--- /dev/null
+++ b/testsuite/synth/oper01/tb_snum02.vhdl
@@ -0,0 +1,20 @@
+entity tb_snum02 is
+end tb_snum02;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_snum02 is
+ signal r : boolean;
+begin
+ cmp02_1: entity work.snum02
+ port map (r);
+
+ process
+ begin
+ wait for 1 ns;
+ assert r severity failure;
+
+ wait;
+ end process;
+end behav;