aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue965
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-10-05 07:48:43 +0200
committerTristan Gingold <tgingold@free.fr>2019-10-05 07:48:43 +0200
commitc4ce356d19626e4218399ed1b52fc6194f8b739f (patch)
tree27dd5b6fe89a37267c44fe92e18d32ec2d27b68d /testsuite/synth/issue965
parent5404c1d47c102e61d84903021bd6c509356c1e91 (diff)
downloadghdl-c4ce356d19626e4218399ed1b52fc6194f8b739f.tar.gz
ghdl-c4ce356d19626e4218399ed1b52fc6194f8b739f.tar.bz2
ghdl-c4ce356d19626e4218399ed1b52fc6194f8b739f.zip
testsuite/synth: add testcase for #965
Diffstat (limited to 'testsuite/synth/issue965')
-rw-r--r--testsuite/synth/issue965/test_block.vhdl11
-rwxr-xr-xtestsuite/synth/issue965/testsuite.sh11
2 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/synth/issue965/test_block.vhdl b/testsuite/synth/issue965/test_block.vhdl
new file mode 100644
index 000000000..508eb8a70
--- /dev/null
+++ b/testsuite/synth/issue965/test_block.vhdl
@@ -0,0 +1,11 @@
+entity test_block is
+end entity test_block;
+
+architecture rtl of test_block is
+begin
+
+ Formal : block is
+ begin
+ end block Formal;
+
+end architecture rtl;
diff --git a/testsuite/synth/issue965/testsuite.sh b/testsuite/synth/issue965/testsuite.sh
new file mode 100755
index 000000000..231cd5c8e
--- /dev/null
+++ b/testsuite/synth/issue965/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for f in test_block; do
+ synth --std=08 $f.vhdl -e $f > syn_$f.vhdl
+ analyze syn_$f.vhdl
+done
+clean
+
+echo "Test successful"