aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue937/tb_enot.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-09-25 07:37:33 +0200
committerTristan Gingold <tgingold@free.fr>2019-09-25 07:37:33 +0200
commit3fca9501e16d90cc268b641ae165a22afdacf5e8 (patch)
tree811bb0b58bdb6f6a2ff18d4c09d48fdc91894fe2 /testsuite/synth/issue937/tb_enot.vhdl
parent28802d1a7a775d8c54921965b6793dfd9dafdf3c (diff)
downloadghdl-3fca9501e16d90cc268b641ae165a22afdacf5e8.tar.gz
ghdl-3fca9501e16d90cc268b641ae165a22afdacf5e8.tar.bz2
ghdl-3fca9501e16d90cc268b641ae165a22afdacf5e8.zip
testsuite/synth: add testcase for #937
Diffstat (limited to 'testsuite/synth/issue937/tb_enot.vhdl')
-rw-r--r--testsuite/synth/issue937/tb_enot.vhdl31
1 files changed, 31 insertions, 0 deletions
diff --git a/testsuite/synth/issue937/tb_enot.vhdl b/testsuite/synth/issue937/tb_enot.vhdl
new file mode 100644
index 000000000..850a4b376
--- /dev/null
+++ b/testsuite/synth/issue937/tb_enot.vhdl
@@ -0,0 +1,31 @@
+entity tb_enot is
+end tb_enot;
+
+architecture behav of tb_enot is
+ signal i : bit;
+ signal x : boolean;
+ signal o : bit;
+begin
+ dut: entity work.enot
+ port map (i, x, o);
+
+ process
+ begin
+ i <= '0';
+ x <= false;
+ wait for 1 ns;
+ assert o = '0' severity failure;
+
+ i <= '1';
+ x <= false;
+ wait for 1 ns;
+ assert o = '1' severity failure;
+
+ i <= '1';
+ x <= True;
+ wait for 1 ns;
+ assert o = '0' severity failure;
+
+ wait;
+ end process;
+end behav;