aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue662/psl_onehot.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-02-09 21:02:22 +0100
committerTristan Gingold <tgingold@free.fr>2021-02-09 21:06:23 +0100
commit7a8a3743fe7e29a44ccf9068c0af7cfc68869aa7 (patch)
tree2e3dacae240689d193a4dcee981a4581ebe5d691 /testsuite/synth/issue662/psl_onehot.vhdl
parentd6b15882f1e023e3d68f424e76215c981ab0304b (diff)
downloadghdl-7a8a3743fe7e29a44ccf9068c0af7cfc68869aa7.tar.gz
ghdl-7a8a3743fe7e29a44ccf9068c0af7cfc68869aa7.tar.bz2
ghdl-7a8a3743fe7e29a44ccf9068c0af7cfc68869aa7.zip
testsuite/synth: adjust tests for issue#662
Diffstat (limited to 'testsuite/synth/issue662/psl_onehot.vhdl')
-rw-r--r--testsuite/synth/issue662/psl_onehot.vhdl6
1 files changed, 1 insertions, 5 deletions
diff --git a/testsuite/synth/issue662/psl_onehot.vhdl b/testsuite/synth/issue662/psl_onehot.vhdl
index feaa784df..97753a17b 100644
--- a/testsuite/synth/issue662/psl_onehot.vhdl
+++ b/testsuite/synth/issue662/psl_onehot.vhdl
@@ -4,8 +4,7 @@ use ieee.numeric_std.all;
entity psl_onehot is
port (clk : in std_logic;
- a, b : in std_logic_vector(3 downto 0);
- c : in natural range 0 to 15
+ a, b : in std_logic_vector(3 downto 0)
);
end entity psl_onehot;
@@ -22,7 +21,4 @@ begin
-- This assertion fails at cycle 12
ONEHOT_1_a : assert always onehot(b);
- -- This assertion fails at cycle 12
- ONEHOT_2_a : assert always onehot(c);
-
end architecture psl;