aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2124/a2.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-07-05 06:32:49 +0200
committerTristan Gingold <tgingold@free.fr>2022-07-05 06:32:49 +0200
commit811dbc83d990ea31f78a4775ffa7434298d4b5ae (patch)
treec69fd02853eac7200a85f4c1124488b994f23348 /testsuite/synth/issue2124/a2.vhdl
parent16294762f5e521c6a159b7946794119615dc0b39 (diff)
downloadghdl-811dbc83d990ea31f78a4775ffa7434298d4b5ae.tar.gz
ghdl-811dbc83d990ea31f78a4775ffa7434298d4b5ae.tar.bz2
ghdl-811dbc83d990ea31f78a4775ffa7434298d4b5ae.zip
testsuite/synth: add a test for #2124
Diffstat (limited to 'testsuite/synth/issue2124/a2.vhdl')
-rw-r--r--testsuite/synth/issue2124/a2.vhdl25
1 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/synth/issue2124/a2.vhdl b/testsuite/synth/issue2124/a2.vhdl
new file mode 100644
index 000000000..eb75b51b4
--- /dev/null
+++ b/testsuite/synth/issue2124/a2.vhdl
@@ -0,0 +1,25 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity a2 is
+end entity;
+
+architecture behaviour of a2 is
+
+ component b
+ port (
+ c : in std_logic;
+ q : out std_logic
+ );
+ end component;
+
+ for inst : b use entity work.b;
+begin
+
+ inst : b
+ port map (
+ c => '0',
+ q => open
+ );
+
+end architecture;