aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2092/testcase.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-06-13 18:44:08 +0200
committerTristan Gingold <tgingold@free.fr>2022-06-13 18:44:45 +0200
commit1a789146110f65b78df8595fb28d62cf79ab0b1b (patch)
tree5c57c7baaf3e320c15ff946212e2411301baf5bb /testsuite/synth/issue2092/testcase.vhdl
parent87435390677f923015ba6a3109dd3c4e397ed462 (diff)
downloadghdl-1a789146110f65b78df8595fb28d62cf79ab0b1b.tar.gz
ghdl-1a789146110f65b78df8595fb28d62cf79ab0b1b.tar.bz2
ghdl-1a789146110f65b78df8595fb28d62cf79ab0b1b.zip
testsuite/synth: add a test for #2092
Diffstat (limited to 'testsuite/synth/issue2092/testcase.vhdl')
-rw-r--r--testsuite/synth/issue2092/testcase.vhdl25
1 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/synth/issue2092/testcase.vhdl b/testsuite/synth/issue2092/testcase.vhdl
new file mode 100644
index 000000000..a2659bad2
--- /dev/null
+++ b/testsuite/synth/issue2092/testcase.vhdl
@@ -0,0 +1,25 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity testcase is
+ port(
+ rst : in std_ulogic;
+ clk : in std_ulogic
+ );
+end entity testcase;
+
+architecture rtl of testcase is
+
+ component testcase2 port (
+ rst : in std_ulogic;
+ clk : in std_ulogic
+ );
+ end component;
+
+begin
+ testcase2_0: testcase2
+ port map (
+ clk => clk,
+ rst => rst
+ );
+end architecture rtl;