aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2062/repro.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-05-17 06:12:18 +0200
committerTristan Gingold <tgingold@free.fr>2022-05-17 06:26:06 +0200
commit9728189783f10b3acaa082121100cc9571065a77 (patch)
tree750669dffb8e77ba541c6b9e86e84f79c4ef4db2 /testsuite/synth/issue2062/repro.vhdl
parent8c55533f130157e826c94a92f55200b916d980f5 (diff)
downloadghdl-9728189783f10b3acaa082121100cc9571065a77.tar.gz
ghdl-9728189783f10b3acaa082121100cc9571065a77.tar.bz2
ghdl-9728189783f10b3acaa082121100cc9571065a77.zip
testsuite/synth: add a test for #2062
Diffstat (limited to 'testsuite/synth/issue2062/repro.vhdl')
-rw-r--r--testsuite/synth/issue2062/repro.vhdl12
1 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/synth/issue2062/repro.vhdl b/testsuite/synth/issue2062/repro.vhdl
new file mode 100644
index 000000000..2b676415c
--- /dev/null
+++ b/testsuite/synth/issue2062/repro.vhdl
@@ -0,0 +1,12 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity repro is port (
+ a : in std_logic_vector(5 downto 0);
+ y : out std_ulogic_vector(3 downto -2));
+end entity;
+
+architecture beh of repro is
+begin
+ y <= to_stdulogicvector(a);
+end beh;