aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2013/tb_tc3.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-03-20 08:51:18 +0100
committerTristan Gingold <tgingold@free.fr>2022-03-20 08:51:18 +0100
commit6058f2f6e79683e6b80b4974220a753373a8b411 (patch)
tree6c4a871bc6c6cc22edac6d7240fe907fa36485e7 /testsuite/synth/issue2013/tb_tc3.vhdl
parent62337bdcb2260b82a5662aee9c8e661e05fb0faf (diff)
downloadghdl-6058f2f6e79683e6b80b4974220a753373a8b411.tar.gz
ghdl-6058f2f6e79683e6b80b4974220a753373a8b411.tar.bz2
ghdl-6058f2f6e79683e6b80b4974220a753373a8b411.zip
testsuite/synth: add a test for #2013
Diffstat (limited to 'testsuite/synth/issue2013/tb_tc3.vhdl')
-rw-r--r--testsuite/synth/issue2013/tb_tc3.vhdl26
1 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/synth/issue2013/tb_tc3.vhdl b/testsuite/synth/issue2013/tb_tc3.vhdl
new file mode 100644
index 000000000..35579b791
--- /dev/null
+++ b/testsuite/synth/issue2013/tb_tc3.vhdl
@@ -0,0 +1,26 @@
+entity tb_tc3 is
+end tb_tc3;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_tc3 is
+ signal state : std_ulogic;
+ signal o : std_ulogic_vector(3 downto 0);
+begin
+ dut: entity work.tc3
+ port map (state, o);
+
+ process
+ begin
+ state <= '0';
+ wait for 1 ns;
+ assert o = "0111" severity failure;
+
+ state <= '1';
+ wait for 1 ns;
+ assert o = "1000" severity failure;
+
+ wait;
+ end process;
+end behav;