aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1948
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-01-14 06:25:03 +0100
committerTristan Gingold <tgingold@free.fr>2022-01-14 06:25:03 +0100
commit70f3c162bcfa12c03891a929b61cc6be85bed4c2 (patch)
tree858085dcb837246478ab811c2784c55f2cc05a9e /testsuite/synth/issue1948
parent1b3915222c3755692ae1693ef1bb8f9d3dc52172 (diff)
downloadghdl-70f3c162bcfa12c03891a929b61cc6be85bed4c2.tar.gz
ghdl-70f3c162bcfa12c03891a929b61cc6be85bed4c2.tar.bz2
ghdl-70f3c162bcfa12c03891a929b61cc6be85bed4c2.zip
testsuite/synth: add a test for #1948
Diffstat (limited to 'testsuite/synth/issue1948')
-rw-r--r--testsuite/synth/issue1948/test.vhdl20
-rwxr-xr-xtestsuite/synth/issue1948/testsuite.sh8
2 files changed, 28 insertions, 0 deletions
diff --git a/testsuite/synth/issue1948/test.vhdl b/testsuite/synth/issue1948/test.vhdl
new file mode 100644
index 000000000..ba8ee69d6
--- /dev/null
+++ b/testsuite/synth/issue1948/test.vhdl
@@ -0,0 +1,20 @@
+package gen_pkg is
+ generic (type T);
+
+ procedure proc;
+end gen_pkg;
+
+package body gen_pkg is
+ procedure proc is
+ begin
+ null;
+ end proc;
+end gen_pkg;
+
+entity test is
+end entity test;
+
+architecture simple of test is
+ package pkg is new work.gen_pkg generic map (t => integer);
+begin
+end architecture simple;
diff --git a/testsuite/synth/issue1948/testsuite.sh b/testsuite/synth/issue1948/testsuite.sh
new file mode 100755
index 000000000..1bd00c114
--- /dev/null
+++ b/testsuite/synth/issue1948/testsuite.sh
@@ -0,0 +1,8 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+synth_only test
+
+echo "Test successful"