aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1909
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-11-28 18:15:29 +0100
committerTristan Gingold <tgingold@free.fr>2021-11-28 18:15:29 +0100
commit0416c788cd9aecd1a2bc8e7a517606d181d99921 (patch)
tree4c3a8886cca8fb996f42118696e9369656018084 /testsuite/synth/issue1909
parentc7d32abe6f8108c0e7af6eea5d546be2bd83b704 (diff)
downloadghdl-0416c788cd9aecd1a2bc8e7a517606d181d99921.tar.gz
ghdl-0416c788cd9aecd1a2bc8e7a517606d181d99921.tar.bz2
ghdl-0416c788cd9aecd1a2bc8e7a517606d181d99921.zip
testsuite/synth: avoid use of verilog identifiers
Diffstat (limited to 'testsuite/synth/issue1909')
-rw-r--r--testsuite/synth/issue1909/reproducebug.vhdl6
1 files changed, 3 insertions, 3 deletions
diff --git a/testsuite/synth/issue1909/reproducebug.vhdl b/testsuite/synth/issue1909/reproducebug.vhdl
index 60655b0eb..940ca41ce 100644
--- a/testsuite/synth/issue1909/reproducebug.vhdl
+++ b/testsuite/synth/issue1909/reproducebug.vhdl
@@ -5,8 +5,8 @@ library ieee;
entity ReproduceBug is
port(
clk : in std_logic;
- input : in unsigned(7 downto 0);
- output : out unsigned(7 downto 0)
+ inp : in unsigned(7 downto 0);
+ outp : out unsigned(7 downto 0)
);
end ReproduceBug;
@@ -18,7 +18,7 @@ begin
Main: process(clk)
begin
if rising_edge(Clk) then
- output <= input ror 1; -- can also be 'rol'
+ outp <= inp ror 1; -- can also be 'rol'
end if;
end process;