aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1734
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-04-23 18:52:15 +0200
committerTristan Gingold <tgingold@free.fr>2021-04-23 18:52:37 +0200
commit4a11f41e67adb67f7b29a4492ca3a2b8ddef2b91 (patch)
tree1bf467ece7c034b2eaadfbb3ac3138eab40e3f36 /testsuite/synth/issue1734
parent386d5570b7a4c8e6c89f92a9ce6854d04df07bbe (diff)
downloadghdl-4a11f41e67adb67f7b29a4492ca3a2b8ddef2b91.tar.gz
ghdl-4a11f41e67adb67f7b29a4492ca3a2b8ddef2b91.tar.bz2
ghdl-4a11f41e67adb67f7b29a4492ca3a2b8ddef2b91.zip
testsuite/synth: add a test for #1734
Diffstat (limited to 'testsuite/synth/issue1734')
-rw-r--r--testsuite/synth/issue1734/ent.vhdl20
-rwxr-xr-xtestsuite/synth/issue1734/testsuite.sh8
2 files changed, 28 insertions, 0 deletions
diff --git a/testsuite/synth/issue1734/ent.vhdl b/testsuite/synth/issue1734/ent.vhdl
new file mode 100644
index 000000000..41fcfecbd
--- /dev/null
+++ b/testsuite/synth/issue1734/ent.vhdl
@@ -0,0 +1,20 @@
+library ieee;
+context ieee.ieee_std_context;
+
+entity fifo is
+ generic ( gen : positive := 8 );
+end fifo;
+
+architecture arch of fifo is begin end;
+
+library ieee;
+context ieee.ieee_std_context;
+
+entity ent is
+ generic ( gen : integer := 0 );
+end ent;
+
+architecture arch of ent is
+begin
+ fifo: entity work.fifo generic map ( gen );
+end architecture;
diff --git a/testsuite/synth/issue1734/testsuite.sh b/testsuite/synth/issue1734/testsuite.sh
new file mode 100755
index 000000000..be3df478e
--- /dev/null
+++ b/testsuite/synth/issue1734/testsuite.sh
@@ -0,0 +1,8 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+synth_failure ent.vhdl -e
+
+echo "Test successful"