aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1731
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-04-21 20:42:06 +0200
committerTristan Gingold <tgingold@free.fr>2021-04-21 20:42:06 +0200
commitda51617af9e574ec595b128c03e5cb842ec3f0c2 (patch)
tree760f26e50eb897540ae08b1a6e3948a29a6c1f94 /testsuite/synth/issue1731
parent200daa4a69cbbd29708a4d58db65ae59d2bf3e1e (diff)
downloadghdl-da51617af9e574ec595b128c03e5cb842ec3f0c2.tar.gz
ghdl-da51617af9e574ec595b128c03e5cb842ec3f0c2.tar.bz2
ghdl-da51617af9e574ec595b128c03e5cb842ec3f0c2.zip
testsuite/synth: add a test for #1731
Diffstat (limited to 'testsuite/synth/issue1731')
-rw-r--r--testsuite/synth/issue1731/ent.vhdl20
-rwxr-xr-xtestsuite/synth/issue1731/testsuite.sh4
2 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/synth/issue1731/ent.vhdl b/testsuite/synth/issue1731/ent.vhdl
new file mode 100644
index 000000000..8170f1f86
--- /dev/null
+++ b/testsuite/synth/issue1731/ent.vhdl
@@ -0,0 +1,20 @@
+library ieee;
+context ieee.ieee_std_context;
+
+entity ent is
+ port (
+ dsin : in signed(15 downto 0);
+ dsout : out signed(31 downto 0);
+ duin : in unsigned(15 downto 0);
+ duout : out unsigned(31 downto 0)
+ );
+end;
+
+architecture arch of ent is
+
+begin
+
+ dsout <= resize(signed(dsin), dsout);
+ duout <= resize(unsigned(duin), duout);
+
+end architecture;
diff --git a/testsuite/synth/issue1731/testsuite.sh b/testsuite/synth/issue1731/testsuite.sh
index 557967b15..196cc2b74 100755
--- a/testsuite/synth/issue1731/testsuite.sh
+++ b/testsuite/synth/issue1731/testsuite.sh
@@ -6,6 +6,10 @@ GHDL_STD_FLAGS=--std=08
synth -gfifo_depth=3 fifo.vhdl axis_conv1d9x1.vhdl -e > syn_axi_conv1d9x1.vhdl
analyze syn_axi_conv1d9x1.vhdl
+
+synth ent.vhdl -e > syn_ent.vhdl
+analyze syn_ent.vhdl
+
clean
echo "Test successful"