aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1481
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-09-28 21:50:13 +0200
committerTristan Gingold <tgingold@free.fr>2020-09-28 21:50:13 +0200
commitb72c4e96c337af037575b3399dfee98e476a9846 (patch)
treead72a09838d29d3459daecd74b34ae615ba67d0e /testsuite/synth/issue1481
parentda729b5a521ec6abcc725142b916d166a7bee1b0 (diff)
downloadghdl-b72c4e96c337af037575b3399dfee98e476a9846.tar.gz
ghdl-b72c4e96c337af037575b3399dfee98e476a9846.tar.bz2
ghdl-b72c4e96c337af037575b3399dfee98e476a9846.zip
testsuite/synth: add a test for #1481
Diffstat (limited to 'testsuite/synth/issue1481')
-rw-r--r--testsuite/synth/issue1481/e.vhdl12
-rwxr-xr-xtestsuite/synth/issue1481/testsuite.sh9
2 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/synth/issue1481/e.vhdl b/testsuite/synth/issue1481/e.vhdl
new file mode 100644
index 000000000..d1bb59183
--- /dev/null
+++ b/testsuite/synth/issue1481/e.vhdl
@@ -0,0 +1,12 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity e is
+ port (i : in std_logic_vector(3 to 0);
+ o : out std_logic);
+end entity;
+
+architecture a of e is
+begin
+ o <= xor(i);
+end architecture;
diff --git a/testsuite/synth/issue1481/testsuite.sh b/testsuite/synth/issue1481/testsuite.sh
new file mode 100755
index 000000000..6d58fb353
--- /dev/null
+++ b/testsuite/synth/issue1481/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+synth_analyze e
+clean
+
+echo "Test successful"